Spec-Zone .ru
спецификации, руководства, описания, API
JavaTM 2 Platform
Std. Ed. v1.3.1

Uses of Class
org.omg.CORBA.SetOverrideType

Packages that use SetOverrideType
org.omg.CORBA Provides the mapping of the OMG CORBA APIs to the JavaTM programming language, including the class ORB, which is implemented so that a programmer can use it as a fully-functional Object Request Broker (ORB). 
org.omg.CORBA.portable Provides a portability layer, that is, a set of ORB APIs that makes it possible for code generated by one vendor to run on another vendor's ORB. 
 

Uses of SetOverrideType in org.omg.CORBA
 

Fields in org.omg.CORBA declared as SetOverrideType
static SetOverrideType SetOverrideType.SET_OVERRIDE
          The SetOverrideType constant for the enum value SET_OVERRIDE.
static SetOverrideType SetOverrideType.ADD_OVERRIDE
          The SetOverrideType constant for the enum value ADD_OVERRIDE.
 

Methods in org.omg.CORBA that return SetOverrideType
static SetOverrideType SetOverrideType.from_int(int i)
          Converts the given int to the corresponding SetOverrideType instance.
static SetOverrideType SetOverrideTypeHelper.extract(Any a)
           
static SetOverrideType SetOverrideTypeHelper.read(InputStream istream)
           
 

Methods in org.omg.CORBA with parameters of type SetOverrideType
 Object Object._set_policy_override(Policy[] policies, SetOverrideType set_add)
          Returns a new Object with the given policies either replacing any existing policies in this Object or with the given policies added to the existing ones, depending on the value of the given SetOverrideType object.
static void SetOverrideTypeHelper.insert(Any a, SetOverrideType that)
           
static void SetOverrideTypeHelper.write(OutputStream ostream, SetOverrideType value)
           
 

Uses of SetOverrideType in org.omg.CORBA.portable
 

Methods in org.omg.CORBA.portable with parameters of type SetOverrideType
 Object ObjectImpl._set_policy_override(Policy[] policies, SetOverrideType set_add)
          Sets this ObjectImpl object's override type for the given policies to the given instance of SetOverrideType.
 Object Delegate.set_policy_override(Object self, Policy[] policies, SetOverrideType set_add)
          Associates the policies passed in with a newly created object reference that it returns.
 


JavaTM 2 Platform
Std. Ed. v1.3.1

Submit a bug or feature
For further API reference and developer documentation, see Java 2 SDK SE Developer Documentation. That documentation contains more detailed, developer-targeted descriptions, with conceptual overviews, definitions of terms, workarounds, and working code examples.

Java, Java 2D, and JDBC are trademarks or registered trademarks of Sun Microsystems, Inc. in the US and other countries.
Copyright 1993-2001 Sun Microsystems, Inc. 901 San Antonio Road
Palo Alto, California, 94303, U.S.A. All Rights Reserved.

free hit counter